Пятница, 26.04.2024, 00:46
Вы вошли как Гость | Группа "Гости"Приветствую Вас Гость | RSS
Главная | Модуль цифрового осцилографа - Страница 4 - Форум | Мой профиль | Регистрация | Выход | Вход
Обитель RC-инженера
Форма входа
[ Новые сообщения · Участники · Правила форума · Поиск · RSS ]
Модератор форума: ВитГо  
Форум » Разработка электронных устройств » Разрабатываю ! » Модуль цифрового осцилографа (Пробуем свои силы в построении цифрового осциллографа)
Модуль цифрового осцилографа
ВитГоДата: Вторник, 18.09.2012, 21:35 | Сообщение # 46
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
Программная синхра теряет 6 тактов частоты- на меге при 16МГц потеряется .375мС сигнала.
В железе надо делать 2 компаратора по 8 бит-наверно дохрена ячеек уйдет
Опять же надо сигналы давать на запись уровня сравнения +2 линии


расскажи мне подробнее как видишь синхронизацию ты,

потому что я думал делать программную синхронизацию, то есть сделать захват, а потом по памяти понять где находиться точка отсчета..


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 21:36 | Сообщение # 47
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
Это ж два канала по 8 + 8 на управление. У тебя шина всего 8 +8управление
 
ВитГоДата: Вторник, 18.09.2012, 21:39 | Сообщение # 48
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
Это ж два канала по 8 + 8 на управление. У тебя шина всего 8 +8управление


ну и что?

ты сможешь читать два канала обращаясь:
- к регистру 0 - для первого канала
- к регистру 1 - для второго канала

в чем сложность ? не думаю что для тебя сложно сделать считывание каналов с индивидуальных регистров (не похож ты на новичка в программировании biggrin )

у меня шина пока состоит из линий:
- 8 данные
- 4 управление.. ну пусть будет 5 управление (если нам регистров не хватит на предделитель и еще что нить)

это все равно 13 линий будет...
ты сможешь управлять отдельно каждым каналом
и мы сможем сделать еще регистр аппаратной синхронизации по уровню (скорее всего для каждого канала индивидуально)


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 21:42 | Сообщение # 49
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
Пока только программно
пока сигнала START нет пишемтолько в 0 ячейку памяти и одновременно читаем в МК
Например уровень синхры=150 по фронту
1-ждем пока сигнал не упадет ниже 150 (while(porta>150))
2-упало ниже -ждем когда станет выше (while(porta<150))
3-поднялось?-ура! выдаем START
вот последний while и установка бита займут 6 тактов


Сообщение отредактировал Sergi - Вторник, 18.09.2012, 21:43
 
ВитГоДата: Вторник, 18.09.2012, 21:45 | Сообщение # 50
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
Пока только программно


понял, нет так не пойдет, бум делать на плис..

я думаю что смогу сравнивать уровень входного сигнала и при падении ниже уровня выдать сигнал на старт...


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 21:51 | Сообщение # 51
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
Да с шириной мне всеравно, но всетаки мега медленновата. Отрисовка по SPI тормозит.
А если применить дисплей 5230 то на него надо 13 ног-полтора порта. Да еще на плисину полтора. А полтора и полтора полюбому 3 получается biggrin
А там 4 неполных, а еще надо смещение выводить с OC0A и установкой коэфф усиления управлять. Маловато будет.
А еще кнопки управления 5-6шт -совсем забыл
Можно в плисине кучу регистров наделать, параллельный ЦАП для смещения,и прочее. Тогда Надо по I2C ею управлять.

вот и выходит 100ногий камень wink
по поводу тактовой частоты: чтобы получить стандартные диапазоны тактовая должна нацело делится на 2 и на 5.
Вот получится или 70 или 80.
80 интереснее-40,20,4,2,1,0.2,0.1 и т д


Сообщение отредактировал Sergi - Вторник, 18.09.2012, 22:08
 
ВитГоДата: Вторник, 18.09.2012, 22:09 | Сообщение # 52
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
ну мега или стм - это уже дальше...

по интерфейсу плис-контроллер мой вариант (12-13 линий) принимаем ?


Виталий (аka ВитГо)
 
ВитГоДата: Вторник, 18.09.2012, 22:11 | Сообщение # 53
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
по поводу тактовой частоты: чтобы получить стандартные диапазоны тактовая должна нацело делится на 2 и на 5.
Вот получится или 70 или 80.
80 интереснее-40,20,4,2,1,0.2,0.1 и т д


ок, просто найти кварцевый генератор на 160 мгц нужно будет...

на 150 мгц я нашел на ebay....

думаю что с частотой мы еще поиграемся.. главное запустить связку из всех компонентов


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 22:39 | Сообщение # 54
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
Вот смотрю и думаю- не хватит ног у меги. Всетаки надо делать SPI управление -4 ноги, а в плисине кучу регистров организовать-сделать ее буфером.
МК управляет дисплеем и клавиатурой, ну включением еще. GUI в МК будет. А все остальное в плис.
 
ВитГоДата: Вторник, 18.09.2012, 22:53 | Сообщение # 55
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
нее, spi на плис я пока не потяну..
давай с параллельной пока разберемся...

а на счет меги - я пока не предполагаю большой экран на щупе-осцилографе..
пусть будет небольшой от сотового по spi и выход на usb для максимального функционала

для меги16\32 в TQFP корпусе
порт B - отдаем под spi дисплей
порт A - отдаем под шину данных плис
порт С - шина управления плис
порт D - кнопки и com-usb

вполне можно уместиться

по твоему интерфейсу с большим экраном:
можно использовать например по 2 бита на цвет (нафиг все 8 не нужны для осциллографа, нужно чтобы цвета заметно отличались друг от друга ) - вот тебе сразу 6 бит на цвет вместо 8*3=24 бит..

хотя в общем то на STM32 помоему не особых проблем с количеством ног :-))


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 23:12 | Сообщение # 56
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
Порт D - D0,D1 -com порт
D2 INT0- у меня запрос прерывания от кнопок
D3-?
D4,D5,D6- кнопки
D7- OC2 пищалка
ПортА шина данных
ПортС С0,С1,C2,C3-scl,sda,cs,rs- дисплей типа сименса с послед интерфейсом
С4,С5,С6,С7- управление плис
ПортВ В3- OC0 выход шим для формирования смещения

Добавлено (18.09.2012, 23:12)
---------------------------------------------
В 5230 шина 8битная, ее не уменьшишь, там еще команды передаются

Сообщение отредактировал Sergi - Вторник, 18.09.2012, 23:10
 
ВитГоДата: Вторник, 18.09.2012, 23:12 | Сообщение # 57
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
D2 INT0- у меня запрос прерывания от кнопок


вот ты жируешь ! :-) кнопки можно периодически опрашивать :-)) все таки не входной сигнал анализируем...

Quote (Sergi)
ПортВ В3- OC0 выход шим для формирования смещения

может быть засунуть это в плис ?
нам на выходе что нужно - ЦАП ? есть какие нить дешевые и простые аппаратные ?
или это ты про смещение отрицательного напряжения для дисплеев ? (типа выход частоты для управление ключем генератора)


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 23:19 | Сообщение # 58
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
INT0 для того если зависнет по кнопкам выходило. Еще на 3 линии 7 кнопок повесить можно.
Смещение - самый медленный сигнал. Любой шим хоть в плис хоть МК справится(шим -бесплатный!)

Добавлено (18.09.2012, 23:19)
---------------------------------------------
Кстати по запросу от кнопок нужно управлять передачей MK - плис. Нужен арбитр шины.

 
ВитГоДата: Вторник, 18.09.2012, 23:20 | Сообщение # 59
Полковник
Группа: Администраторы
Сообщений: 2422
Репутация: 20
Статус: Offline
Quote (Sergi)
INT0 для того если зависнет по кнопкам выходило.


ну если виснет то программу нужно проверять :-)
Quote (Sergi)
Смещение - самый медленный сигнал. Любой шим хоть в плис хоть МК справится(шим -бесплатный!)

то есть все таки нужен ШИМ для дисплея.. тогда оставляем в МК, к захвату и плис это отношения не имеет

я сегодня исходники правил немного.. сейчас перезалью архив и дам ссылку, глянь, может что нить в голову придет...


Виталий (аka ВитГо)
 
SergiДата: Вторник, 18.09.2012, 23:25 | Сообщение # 60
Подполковник
Группа: Проверенные
Сообщений: 540
Репутация: 55
Статус: Offline
В арбитре нужны сигналы: rd,wr,rs(команда/данные),bisy(занято/свободно) вот bisy надо на прерывание INT1PORTD.3 повесить-типа плис просится к мк
шим для операционника смещения по вертикали в аналоговой части
а зависать может ожидая синхронизации, а ее нету

вот неграмотный не bisy а busy
а еще линия cs - типа мк просится к плис. Итого 5 линий. RS =1 на шине адрес регистра назначения, RS=0 - данные для передачи


Сообщение отредактировал Sergi - Вторник, 18.09.2012, 23:37
 
Форум » Разработка электронных устройств » Разрабатываю ! » Модуль цифрового осцилографа (Пробуем свои силы в построении цифрового осциллографа)
Поиск:


Copyright MyCorp © 2024
Сделать бесплатный сайт с uCoz